Published in News

GlobalFoundries clarifies 14nm plans

by on08 April 2015


Still tweaking its gear

GlobalFoundries clarified details of the ramp up of 14nm chip production at fab 8 manufacturing facility in New York.

It has apparently  has taped out multiple 14nm designs and is tweaking its equipment using a lead product at the moment. The company is on track to start high-volume shipments of 14nm chips this year.

Jason Gorss, a spokesman for GlobalFoundries said that the outfit's 14nm FinFET technology is maturing and on schedule at our Fab 8 facility in Malta, New York,.

"The early version (14LPE) is qualified in our fab and our lead product is yielding in double digits. Since 2014, we have taped multiple products and testchips and are seeing rapid progress, in yield and maturity, for volume shipments in 2015."

The comment follows a statement from Mubadala Development last week week which claimed htat GlobalFoundries had begun ramping manufacturing of 14nm chips for customers.

Mubadala, which owns GlobalFoundries, did not provide any details. Even though production is currently not in high volume, it is clear that GlobalFoundries ships certain chips to clients.

It is not clear what 14nm chips GlobalFounfries produces at present, but it is highly likely that the company makes Samsung Exynos 7420 application processors for its process tech partner.

Another early partner of GlobalFoundries with its 14nm FinFET production could be Apple, which is expected to use Samsung's 14nm process tech to make its upcoming A9 system-on-chip.

Samsung involved

GlobalFoundries licensed Samsung's 14LPE (low-power early) and 14LPP (low-power plus) process technologies last year.

This process uses FinFET transistors and rely on back-end-of-line (BEOL) interconnects of 20nm manufacturing technology. The14nm FinFET transistors allow a performance boost for chips by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing performance or complexity.

Rate this item
(5 votes)

Read more about: