Print this page
Published in PC Hardware

Intel comes up with an aggressive manufacturing roadmap

by on27 July 2021


Analysis: Intel 7, Intel 4 Intel 3, and Intel A20 angstrom

The nanometer branding has been quite an inaccurate way to describe the transition size and the performance. After maintaining desktop and especially mobile leadership for the most part with 14nm, Intel is happy to report that the second-generation 10 nm is in heavy volume. 10nm is now represented more than 14nm volume, but Intel decided to change the way it calls its manufacturing processes.

Intel 7, the artist previously known as 10nm Enhanced SuperFin

Intel 7 is coming later this year with Alder Lake for the client and Sapphire Rapids for the data center in Q1 2022. The new process enhancement is expected to deliver an approximately 10 percent to 15 percent performance-per-watt1 increase over Intel 10nm SuperFin through FinFET transistor optimizations, including increased strain, more low-resistance materials, novel high-density patterning techniques, streamlined structures, and better routing with a higher metal stack.

Intel 4 (previously Intel 7nm)

The new name is something that you can expect in the second half of 2022. Intel has been careful not to reveal quarters to get a bit more flexibility with possible minor slips. The Intel 4 will be providing an approximately 20 percent performance-per-watt increase over Intel 7.

Intel 4 is the first Intel FinFET node to fully embrace extreme ultraviolet lithography (EUV), which involves a highly complex optical system of lenses and mirrors that focuses a 13.5nm wavelength of light on printing incredibly small features on silicon.

IntelRadmap25

The EUV offers a vast improvement over prior technology that used light at a wavelength of 193nm. Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for the client and Granite Rapids for the data center.

Intel 3

The Intel 3 should be the last one to continue to reap the benefits of FinFET. It is expected to deliver around an 18 percent performance-per-watt increase over Intel 4. It is a higher level of a transistor performance improvement than typically derived from a standard full node.

Intel 3 implements a denser, higher performance library, increased intrinsic drive current and optimized interconnect metal stack with reduced resistance, and increased use of EUV compared with Intel 4. Intel 3 will be ready to begin manufacturing products in the second half of 2023.

Intel 20A

The year 2024 should be the year of the Angstrom era. Intel plans to introduce two breakthrough technologies, PowerVia and RibbonFET. PowerVia is Intel’s unique, industry-first implementation of backside power delivery – eliminating the need for power routing on the front side of the wafer and providing optimized signal routing while reducing droop and lowering noise.

RibbonFET, Intel’s implementation of a gate-all-around transistor, is the company’s first new transistor architecture since it pioneered FinFETs in 2011, delivering faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. Intel 20A is expected to ramp in 2024, with products a year later.

Qualcomm wants to use this process, and AWS already announced that it would also be using Intel’s foundries services. Qualcomm is vocal that it wants Intel 20A most likely for its mobile processors and Nuvia IP, but this is something that Qualcomm is unlikely to confirm at such an early stage. It takes time to make a new CPU core optimized for mobile applications, especially when you start from the already finished data center part.

It is interesting to see that Intel and Qualcomm, and Amazon’s AWS are suddenly Friendeminys. Intel can find good use of 5G IP for its client group, and apparently, Qualcomm wants to use Intel’s benefit and try to catch up with Apple mobile CPUs traditionally manufactured at TSMC.

All these are big bets, but if Pat Gelsinger, the new CEO, manages to improve the execution and eliminates delays, the plan could work.

Last modified on 27 July 2021
Rate this item
(3 votes)