Print this page
Published in PC Hardware

Qualcomm and Amazon Web Services sign up for Intel foundry

by on27 July 2021


Chipzilla shares its roadmap

As Intel launches its new foundry business, its first customers include Qualcomm and Amazon Web Services, the chipmaker said.

Intel also shared the process and packaging technology roadmap that will take its product development through 2025, detailing new innovations and a new naming structure for its process nodes.

Intel CEO Kicking Pat Gelsinger said that building on Intel's leadership in advanced packaging, it was accelerating its roadmap to ensure Chipzilla was on a clear path to process performance leadership by 2025.

"The innovations unveiled today will not only enable Intel's product roadmap; they will also be critical for our foundry customers."

Qualcomm will use Intel's upcoming 20A process technology, which is expected to ramp up in 2024. Intel 20A will rely on two new technologies, RibbonFET and PowerVia.

For those who came in late, RibbonFET is Intel's new transistor architecture. It delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia is Intel's industry-first implementation of backside power delivery, which is vital for those who need powerful backsides because it optimises signal transmission by eliminating the need for power routing on the front side of the wafer.

AWS is signing up to be the first customer to use Intel Foundry Services' packaging solutions.

On the roadmap Chipzilla said that it is adopting a new node naming structure since the traditional nanometer-based process node naming hasn't matched the actual gate-length metric since 1997.

Intel 7 delivers an approximately 10-15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimisations. Intel 7 will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data centre, which is expected to be in production in the first quarter of 2022.

Intel 4 uses EUV lithography to print small features using ultra-short wavelength light. With an approximately 20 per cent performance-per-watt increase, along with area improvements, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client and Granite Rapids for the data center.

Intel 3 uses further FinFET optimizations and increased EUV to deliver an approximately 18 per cent performance-per-watt increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.

Intel 20A is expected to ramp in 2024.

2025 and Beyond: Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET. Intel is also working to build High Numerical Aperture (High NA) EUV. The company says it's positioned to receive the first High NA EUV production tool in the industry.

Last modified on 27 July 2021
Rate this item
(3 votes)